From 6515ff4c9788805c936e4792fc5304ae77c4a688 Mon Sep 17 00:00:00 2001 From: PlexSheep Date: Fri, 27 Oct 2023 10:52:58 +0200 Subject: [PATCH] clean up --- blink/main.c | 48 +++++++++++++++++++++++------------------------- 1 file changed, 23 insertions(+), 25 deletions(-) diff --git a/blink/main.c b/blink/main.c index 12624ad..f625736 100644 --- a/blink/main.c +++ b/blink/main.c @@ -1,34 +1,32 @@ #define F_CPU 16000000UL +#define __AVR_ATmega328P__ #include #include +int main(void) { + DDRB = 0xff; -int main(void) -{ - DDRB = 0xff; + while (1) { + // green + PORTB |= (1 << PORTB5); + _delay_ms(500); + PORTB &= ~(1 << PORTB5); - while (1) - { - // green - PORTB |= (1<